Разработка алгоритма для исследования погрешностей МЭМС- акселерометра

Реферат
Содержание скрыть

На современном этапе активного совершенствования микроэлектроники широкое распространение получило развитие микроэлектромеханических систем, которые более коротко принято называть МЭМС. Английским эквивалентом данного термина является сочетание «Micro ElectroMechanical Systems» (MEMS).

MEMS (микроэлектромеханические системы) – это технологии и устройства, объединяющие в себе микроэлектронные и микромеханические компоненты. MEMS-устройства обычно изготавливают на кремниевой подложке с помощью технологии микрообработки, аналогично технологии изготовления однокристальных интегральных микросхем. Типичные размеры -микро-механических элементов лежат в диапазоне от 1 микрометра до 100 микрометров, тогда как размеры кристалла MEMS микросхемы имеют размеры от 20 микрометров до одного миллиметра

Целью данной работы является разработка алгоритмического и программного обеспечения для исследования статистических погрешностей акселерометра LSM303DLH.

Основным назначением акселерометра является предоставление информации о текущем ускорении устройства, вернее разности ускорения устройства и ускорения свободного падения. В состоянии покоя показания датчика совпадают с вектором ускорения свободного падения. В условиях невесомости истинное ускорение объекта вызывается лишь гравитационной силой и потому в точности равно гравитационному ускорению. Таким образом, кажущееся ускорение отсутствует и показания любого акселерометра равны нулю

Акселерометры относятся к классу инерциальных датчиков, область применения которых очень широка: от мобильных телефонов и планшетных компьютеров (одна из задач – обеспечение поворота дисплея) до интегрированных со спутниковыми навигационными системами малогабаритных бесплатформенных инерциальных навигационных систем, обеспечивающих определение параметров ориентации и координат подвижных летательных, наземных, надводных и подводных объектов.

Предметом исследования является исследование статистических погрешностей МЭМС-датчика (микромеханического акселерометра LSM303DLH).

В ходе работы представлено алгоритмическая разработка исследования таких параметров, как среднеквадратическое отклонение, математическое ожидание, ковариация, корреляция, проверка гипотезы на нормальность распределения генеральной совокупности по критерию согласия Пирсона. Создано программное обеспечение в программной среде Delfi 7.0 для расчета данных параметров и вывода графиков показаний акселерометра, математического ожидания по оси x, оси y, осиz, гистограммы частот для выборки наблюденных значений при проверке гипотезы на нормальность распределения генеральной совокупности по критерию согласия Пирсона.

14 стр., 6547 слов

«Устройство и технологии разборки и сборки рулевого управления ...

... кг. Рисунок 1. ЗИЛ-431 410 Целью данного исследования является рассмотрение устройства и технологии разборки и сборки рулевого управления автомобиля. Для достижения данной цели необходимо выполнить следующие ... руководившего в течение 28 лет многочисленным коллективом конструкторско-экспериментальной службы ЗИЛа. Техническое задание на автомобили ЗИЛ-130 впервые в отрасли предусматривало создание ...

ГЛАВА 1. ТЕОРЕТИЧЕСКАЯ ЧАСТЬ

1.1 Формализация задач при исследовании погрешностей МЭМС акселерометра

Объектом исследования является микроэлектромеханический (МЭМС) трехосевой акселерометр LSM303DLH в сочетании с трехосевым датчиком магнитного поля.

Целью работы является исследование погрешностей данного акселерометра, создание алгоритмического и программного обеспечения для определения статистических погрешностей датчика.

Предметом исследования являются методики и алгоритмы определения погрешностей МЭМС-акселерометра LSM303DLH

Рисунок 1 – Трехосевой акселерометр LSM303DLH

Принцип работы сенсоров движения (акселерометров и гироскопов) основан на измерении смещения инерционной массы относительно корпуса и преобразовании его в пропорциональный электрический сигнал. Емкостной метод преобразования измеренного перемещения является наиболее точным и надежным, поэтому емкостные акселерометры получили широкое распространение. Структура емкостного акселерометра состоит из различных пластин, одни из которых являются стационарными, а другие свободно перемещаются внутри корпуса. Емкости включены в контур резонансного генератора. Под действием приложенных управляющих электрических сигналов подвешенная масса совершает колебания. Между пластинами образуется конденсатор, величина емкости которого зависит от расстояния между ними. Под влиянием силы ускорения емкость конденсатора меняется. На рисунке 2 показана топология МЭМС-сенсора

Рисунок 2 – Топология МЭМС-акселерометра

Основным конструктивным узлом микроэлектромеханических акселерометров являются чувствительный элемент, принципиальные схемы которых приведены на рисунке 2. Чувствительный элемент (ЧЭ) включает в себя инерциальную массу (ИМ) – 1, упругие элементы под-веса – 2, опорную рамку – 3

Рисунок 3 – виды ЧЭ акселерометров

Принципиальная схема МЭМС-акселерометра

1 – ИМ, 2 – неподвижные электроды, 3 – анкер, 4 – подвижные электроды, 5 – рамка, 6 – упругий элемент подвеса, 7 – основание (корпус)

Инерциальная масса (ИМ) смонтирована на некотором расстоянии от основания (корпуса) с помощью двух пар упругих элементов, подвеса и анкеров. ИМ перемещается в соответствии с измеряемым ускорением α. Емкостный измеритель перемещений образован гребенчатыми структурами электродов, из которых подвижные электроды образуют единую структуру с ИМ, а неподвижные, объединенные рамкой, скреплены основанием (корпусом).

Основными причинами, вызывающими погрешность измерений МЭМС-акселерометра являюются температура, вибрация и перекрестное ускорение.

Изменение температуры окружающей среды приводит к изменению значения диэлектрической проницаемости ε, зазора между пластиной маятника и крышками.

При действии перекрестного ускорения возникает дополнительная деформация упругих элементов подвеса и соответствующие им перемещение маятника. Перемещения маятника вдоль оси y совпадают с направлением оси чувствительности и компенсируется датчиком момента, т.е. ошибки не вносят. Перемещения маятника вдоль оси z относительно неподвижных электродов датчика перемещений изменяют эффективную площадь перекрытия электродов и без принятия конструктивных мер могут привести к случайной ошибке. Вероятность появления этой ошибки предотвращается увеличением площади электродов на крышках.

Важнейшими параметрами акселерометра являются диапазон измеряемых ускорений, чувствительность, выражаемая обычно как отношение сигнала в вольтах к ускорению, нелинейность в процентах от полной шкалы, шумы, температурные дрейфы нуля (смещения) и чувствительности. Благодаря этим качествам они нашли свое применение во множестве отраслей: военная и гражданская авиация; автомобилестроение; аэрокосмическое приборостроение; робототехника; военная промышленность; нефтяная и газовая промышленность; спорт; медицина. В ряде случаев существенной характеристикой оказывается собственная частота колебаний сенсора или резонансная частота, определяющая рабочую полосу частот датчика. В большинстве применений важны температурный диапазон и максимально допустимые перегрузки —характеристики, связанные с условиями эксплуатации датчиков. Определяющими параметрами, влияющими на точность определения ускорения, являются дрейфы нуля и чувствительности (в основном температурный), а также шумы датчика, ограничивающие порог разрешения устройства

Чувствительность датчика зависит от резонансной частоты механической подсистемы, а также качества электронного преобразователя. Изменение чувствительности с температурой связано в основном с изменением коэффициента упругости.

Температурный дрейф нуля обусловлен изменением коэффициента упругости, тепловым расширением и технологическими погрешностями изготовления сенсора. Изменение параметров электронной части датчика под действием температуры, как правило, существенно меньше. Поскольку акселерометр измеряет ускорение или силу, вызывающую ускорение инерционной массы, физическая модель акселерометра представляет собой инерционную массу, подвешенную на пружине, закрепленной в неподвижном корпусе– простую систему с одной степенью свободы x в направлении измерительной оси. Инерционная масса приобретает ускорение под действием ускоряющей силы (равнодействующей силы инерции при воздействии ускорения), пропорциональной массе m и ускорению a.

Спектраальная плоотность мощности (плотность шума, µ g /√Hz rms) в физике и обработке сигналов – функция, описывающая распределение мощ-ности сигнала в зависимости от частоты, то есть мощность, приходящаяся на единичный интервал частоты. Часто термин применяется при описании спектральной мощности потоков электромагнитного излучения или других колебаний в сплошной среде, например, акустических. В этом случае подразумевается мощность на единицу частоты на единицу площади, например: Вт/Гц/м2 .

Основные характеристики акселерометра LSM303DLH приведены в таблице 1:

Параметры, единица измерения

Значение

минимум

максимум

Напряжение питания, В

2.5

3.3

Смещение нуля, В

±0.01

Температурный дрейф нуля, м g /°C

±0.1

Плотность шума, µ g /√Hzrms

218

Диапазон температуры, ° C

-30

+85

Таблица 1 – Основные характеристики акселерометра LSM303DLH

Рисунок 5 – Блок-диаграмма акселерометра LSM303DLH

Рисунок 6 – Расположение пинов акселерометра LSM303DLH

Таблица 2 – Назначение пинов акселерометра LSM303DLH

Рисунок 7 – Структура системы обработки движения

Рисунок 8 – Структурная схема модуля LSM303DLH

Микроэлектромеханические (MEMS) датчики имеют малые массогабаритные характеристики, низкое энергопотребление и стоимость, обладают высокой устойчивостью к перегрузкам и ударам. Основным их недостатком является сравнительно низкая точность. Этот факт в первую очередь обусловлен принципиальным отсутствием на сегодняшний день адекватных и возможных для использования в течение длительных временных интервалов применения по назначению математических моделей погрешностей подобных датчиков.

Наиболее востребованное применение в MEMS-индустрии имеют микромеханические гироскопы и акселерометры. Основными их техническими характеристиками являются динамический диапазон, чувствительность, частотный отклик, характеристики шумовых составляющих. При калибровках микросхемы с достаточной степенью точности фиксируются на наклонно-поворотном столе, что позволят соответствующим образом ориентировать оси акселерометров относительно земной оси и, следовательно, определять их систематические погрешности. Также реализована возможность расчета коэффициентов влияния температуры и напряжения питания на основную систематическую погрешность, особенно характерных для подобных датчиков. Основой развития МЭМС является микроэлектронная технология, которая применяется практически во всех изделиях на основе кремния.

Использование МЭМС–технологий в современных электронных системах позволяет значительно увеличить их функциональность. Используя технологические процессы, почти не отличающиеся от производства кремниевых микросхем, разработчики МЭМС–устройств создают миниатюрные механические структуры, которые могут взаимодействовать с окружающей средой и выступать в роли датчиков, передающих воздействие в интегрированную с ними электронную схему. Именно датчики являются наиболее распространенным примером использования МЭМС–технологии: они используются в гироскопах, акселерометрах, измерителях давления и других устройствах. В настоящее время почти все современные автомобили используют рассмотренные выше МЭМС–акселерометры для активации воздушных подушек безопасности. Микроэлектромеханические датчики давления широко используются в автомобильной и авиационной промышленности. Гироскопы находят применение во множестве устройств, начиная со сложного навигационного оборудования космических аппаратов и заканчивая джойстиками для компьютерных игр. МЭМС–устройства с микроскопическими зеркалами используются для производства дисплеев и оптических коммутаторов

С появлением микроэлектромеханических систем (МЭМС), инерциальные датчики получили существенное развитие. Такие преимущества как дешевизна, низкое энергопотребление, малые размеры, и возможность изготовления методом групповой технологии позволили инерциальным МЭМС сенсорам получить широкий диапазон применений в автомобильном, компьютерном, и навигационном рынках.

В отличие от традиционной технологии микроакселерометры протравливаются с использованием специализированных методик, комбинирующих механическую микрообработку поверхности поликристаллического кремния и технологии электронных схем.

Соединение между микроструктурами и электронными компонентами осуществляется слоем поликристаллического кремния или диффузией примесей с большим сопротивлением и паразитной емкостью к подложке. Дополнительные технологические операции микротехнологий обычно касаются достижения компромисса между необходимой чувствительностью и процентом выхода годных чипов, и несовместимы со стандартной технологией изготовления интегральных схем (ИС).

1.2. Характеристики погрешностей МЭМС акселерометра и их классификация

Особенностью микромеханических акселерометров является преимущественное изготовление чувствительных элементов этих устройств из материалов на основе кремниевой технологии, что определяет: малые габариты и вес акселерометра, возможность применения групповой технологии изготовления и, следовательно, дешевизну изготовления при массовом производстве, высокую надежность в эксплуатации.

Одной из основных причин, вызывающих погрешность измерений микромеханического акселерометра, является изменение температуры окружающей среды. Дополнительное смещение нуля из-за вариации температуры окружающей среды:

где k T – тепловой дрейф сдвигов нулей акселерометров; ∆T – изменение температуры за время испытания, T–скорость изменения температуры;

  • t –время испытания.

Известно, что точность измерений ограничена не только систематической погрешностью, но и спектральным составом шума измерений. Например, в измерениях MEMS-датчиков присутствует фликкер-шум, окрашивающий шумы измерений.

Фликкер-шум (избыточный шум) – аномальные флуктуации, для которых характерна обратно пропорциональная зависимость спектральной плотности мощности от частоты в отличие от белого шума, у которого спектральная плотность постоянна. Фликкер-шум был обнаружен как медленные хаотичные изменения термоэмиссии катодов электронных ламп, получившие название «фликкер-эффект». В дальнейшем флуктуации с такими же свойствами были обнаружены во множестве физико-химических, биологических и даже социальных систем. В настоящее время термин «фликкер-шум», наряду с менее удобным, но более адекватным термином «1/f-шум», а также термином «макрофлуктуации» используется для обозначения аномальных флуктуаций в сложных системах. Разновидностью фликкер-шума является наблюдаемый в полупроводниках импульсный (взрывной) шум ступенчатые изменения уровня сигнала со случайно распределенными интервалами времени между изменениями уровня. Его спектральная плотность мощности растет с понижением частоты, ограничивает возможность увеличения точности путем усреднения и не позволяет снизить случайную составляющую погрешности до нуля. Кроме того, в цифровых датчиках всегда присутствует помеха с частотой тактового генератора, также придающая окраску белому шуму.

Акселерометры, как и гироскопы, страдают от смещения и дрейфов смещения, ошибок невыравнивания, дрейфов под воздействием температуры и ускорений, нелинейности (так называемой ошибки VRE),а также дрейфа чувствительности. Важнейшими характеристиками акселерометров для их сравнительного анализа являются смещение и его дрейфы, нестабильность смещения, а также шум. Также могут приниматься во внимание дрейф чувствительности, коэффициент нелинейности VRE и другие параметры.

Любое смещение акселерометра в отсутствие ускорения при двойном интегрировании вызывает ошибку скорости, пропорциональную времени интегрирования, и ошибку в вычисленном положении, растущую со временем квадратично. Неконтролируемое смещение нуля вызывает смещение вектора ускорения относительно его истинного направления, и это касается не только датчиков линейного ускорения, но и гравитационного, которое должно вычитаться из общего выхода акселерометра. В системах инерциальной навигации дрейф смещения акселерометра привносит существенный вклад в погрешность вычисления скорости и положения. При измерении ориентации наиболее существенными являются угловые ошибки вычислений наклонов продольном и поперечном направлениях.

Нестабильность смещения датчика представляет собой случайные вариации смещения, вычисленные в определенный временной интервал как усредненные значения. Этот параметр вычисляется по методу Аллана для стационарного датчика. При увеличении времени усреднения выходной шум снижается, и наклон достигает минимальной точки, а затем увеличивается вновь. Минимальная точка на кривой Аллана представляет собой нестабильность смещения, приводимую в спецификациях акселерометров в мg или мкg. Чем ниже значение этого параметра, тем меньше ошибка вычисления

скорости, положения и ориентации. Нестабильность смещения акселерометра в большинстве спецификаций определяется производителями как наилучшая характеристика, достигнутая в лабораторных условиях(при 20 °C и отсутствии механических воздействий).

Стабильность смещения в реальных

условиях представляет собой максимальный дрейф остаточной ошибки смещения после компенсации воздействия внешних факторов — температуры, ударов, вибраций, старения.

Как было сказано выше, MEMS разделяют на два типа: сенсоры и актюаторы. Одним из самых используемых видов сенсоров являются датчики движения, которые в свою очередь делятся на акселерометры (датчики ускорения) и гироскопы (датчики поворота).

Применение данных устройств на сегодняшний день очень широко: телефоны, коммуникаторы, игровые приставки, фотокамеры и ноутбуки все чаще и чаще снабжаются подобными сенсорами. В мобильных телефонах и видеоприставках чувствительность к движениям пользователя используется в основном для развлечения. А вот в портативных компьютерах акселерометры выполняют очень даже полезную функцию: улавливают момент, когда жесткий диск может подвергнуться повреждению из–за удара и паркуют головки диска. В фототехнике использование датчиков движения не менее актуально – именно на их основе работают честные системы стабилизации изображения.

Автопроизводители (из массовых индустрий они первыми опробовали данного рода устройства) уже несколько десятилетий активно эксплуатируют датчики движения, например, в подушках безопасности и антиблокировочных системах тормозов. Так что соответствующие чипы давно разработаны, выпускаются целым рядом крупных и сравнительно мелких компаний и производятся в таких количествах, что цены давно и надежно сбиты до минимума. Типичный MEMS–акселерометр сегодня обходится в несколько долларов за штуку.

При наличии ускорения грузик смещается относительно неподвижной части акселерометра. Обкладка конденсатора, прикрепленная к грузику, смещается относительно обкладки на неподвижной части. Емкость меняется, при неизменном заряде меняется напряжение – это изменение можно измерить и рассчитать смещение грузика. Откуда, зная его массу и параметры подвеса, легко найти и искомое ускорение. На практике, MEMS–акселерометры устроены таким образом, что отделить друг от друга составные части – грузик, подвес, корпус и обкладки конденсатора – не так–то просто. Собственно, изящество MEMS в том и заключается, что в большинстве случаев в одной детали здесь удается (а вернее, попросту приходится) комбинировать сразу несколько предметов.

В плане архитектуры МЭМС–устройство состоит из нескольких взаимодействующих механических компонентов и микропроцессора, который обрабатывает данные, получаемые от этих компонентов.

Что касается технологий производства МЭМС, то здесь используется несколько основных подходов. Это объемная микрообработка, поверхностная микрообработка, технология LIGA (Litographie, Galvanoformung и Abformung) – литография, гальваностегия, формовка) и глубокое реактивное ионное травление. Объемная обработка считается самым бюджетным способом производства МЭМС. Ее суть заключается в том, что из кремниевой пластины путем химического травления удаляются ненужные участки материала, в результате чего на пластине остаются только необходимые механизмы. Глубокое реактивное ионное травление почти полностью повторяет процесс объемной микрообработки, за исключением того, что для создания механизмов используется плазменное травление вместо химического. Полной противоположностью этим двум процессам является процесс поверхностной микрообработки, при котором необходимые механизмы «выращиваются» на кремниевой пластине путем последовательного нанесения тонких пленок. И, наконец, технология LIGA использует методы рентгенолитографии и позволяет создавать механизмы, высота которых значительно превышает ширину.

1.3. Анализ методик исследования погрешностей МЭМС датчиков

Погрешность измерения – отклонение результата измерения от истинного значения измеряемой величины. Методы выявления и оценки погрешностей можно разделить на аналитические (теоретические) и экспериментальные. В некоторых случаях используют смешанные методы (объединение теоретических и экспериментальных).

Оценки погрешностей для типовых измерений обычно можно найти в информационных источниках.

Аналитические методы выявления и оценки погрешностей базируются на функциональном анализе методики выполнения измерений. Применению методов выявления и оценки погрешностей обычно предшествует гипотеза о наличии погрешностей от того или иного источника, включая:

  • инструментальные погрешности,
  • методические погрешности,
  • погрешности из-за отличия условий от нормальных
  • субъективные погрешности.

Аналитические методы чаще всего используют для расчета инструментальных и методических составляющих погрешностей, а также погрешностей из-за несоответствия условий измерений нормальным. Для расчетов строят специальные модели.

К инструментальным погрешностям относят все погрешности средств измерений и вспомогательных устройств: погрешности прибора, погрешности используемых для его настройки мер, погрешности устройств базирования приборов для линейно-угловых измерений, соединительных проводов для подключения электроизмерительных приборов и т.д. Аналитические расчеты средств измерений на точность проводятся для оценки их теоретических погрешностей и допустимых технологических погрешностей изготовления и сборки деталей, что является обязательными составными частями проектирования.

Погрешности из-за несоблюдения нормальных условий измерений вызваны воздействием на измеряемый объект и средства измерений любой влияющей физической величины, выходящей за пределы области нормированных значений. Температурные, электромагнитные и другие поля, атмосферное давление, избыточная влажность, наличие вибраций и множество других факторов могут привести к искажению измеряемой величины и/или измерительной информации о ней.

Для оценки погрешности «условий» в общем случае следует учитывать воздействие влияющих величин и на средства измерений, и на измеряемые объекты. Для расчета воздействия влияющей величины ψ на результат измерения нужно знать функцию f(ψ) изменения измеряемой физической величины и/или сигнала средства измерений при изменении аргумента (влияющей величины ψ) и значение аргумента ψ. Например, изменение линейного размера (диаметра или высоты измеряемой детали) под воздействием температуры, отличной от нормальной, обычно связывают с так называемой «стержневой моделью» и рассчитывают с использованием элементарной зависимости

где Δl – приращение длины (положительное или отрицательное);

  • α – температурный коэффициент линейного расширения;
  • температура при измерении;
  • номинальное значение нормальной температуры при измерении.

Для оценки влияния температуры на средства измерений необходимо проанализировать действие температуры на измерительную цепь, выявить те элементы, воздействие на которые приведет к искажению функции измерительного преобразования, и определить характер искажения. Этот путь часто оказывается непродуктивным, потому что для построения аналитической модели сложного средства измерений приходится задаваться множеством допущений, при этом не всегда удается обеспечить их достаточную строгость. Чаще прибегают к экспериментальной оценке погрешности.

Методические погрешности возникают из-за принятых при измерении или обработке результатов теоретических допущений и упрощений, а также из-за несоответствия реального объекта измерений принятой модели. Оценку методической погрешности можно рассмотреть на примере измерения массы объекта взвешиванием (метод сравнения с мерой) на двуплечих весах. Для этого следует построить модель уравновешивания с учетом архимедовых сил, которые обусловлены вытеснением воздуха и объектом измерения, и гирями. Погрешности из-за несоответствия реального объекта измерений принятой модели можно рассматривать на примерах измерений длины, плотности, температуры и других физических величин. Так при измерении диаметра детали измерительной головкой на стойке методические погрешности могут быть обусловлены неидеальной формой номинально цилиндрической поверхности. Методическая погрешность при измерении седлообразной детали примерно равна отклонению образующей от прямолинейности.

Субъективные погрешности могут включать погрешности отсчитывания результата и погрешности манипулирования средствами измерений и измеряемым объектом (устройствами совмещения, настройки и корректировки нуля, арретирования, базирования накладного СИ или детали на станковом СИ).

Для оценки погрешностей отсчитывания результатов с аналоговых приборов можно построить геометрическую модель образования погрешности из-за параллакса (если плоскости шкалы и указателя не совпадают), а также модели округления или интерполирования дольной части деления. Элементарная модель округления отсчета при положении указателя между отметками шкалы показывает, что в наихудшем случае (положение указателя точно посредине) погрешность округления не превысит половины цены деления (j) шкалы аналогового прибора, а при интерполировании дольной части деления «на глаз» будет еще меньше. В последнем случае более строгая аналитическая оценка невозможна, поэтому погрешность интерполирования оценивают экспериментальными методами или заимствуют из информационных источников.

Уровень полноты выявления и оценки составляющих погрешностей зависит от получаемой информации и может колебаться от оценки по шкале наименований до оценки по шкале отношений. Примерами качественных оценок по шкале наименований могут быть утверждение о наличии погрешности, возникающей из-за определенных причин, заключение о характере погрешности («систематическая постоянная погрешность длины объекта при отличии его температуры от нормальной» или «прогрессирующая погрешность при монотонном изменении температуры объекта»).

Использование шкалы порядка может выражаться, например, в оценках уровня значимости: составляющие погрешности второго порядка малости считают пренебрежимо малыми. Высшим уровнем оценок погрешностей будет получение их числовых значений.

Шумовой уровень акселерометра представляет собой некоррелированный с внешними воздействиями шумовой порог в виде минимального выхода датчика, отличимого от фоновых шумов. Шумовая плотность акселерометра специфицирована в rms мg/√Гц и характеризует выходной белый шум для данной частотной полосы. Шум акселерометра отрицательно влияет на минимальный разрешаемый угол продольного и поперечного наклонов и существенно влияет на точность вычисления скорости и положения.

Ошибка чувствительности представляет собой отношение ошибки выхода в виде отклонения от прямой линии к полному входному диапазону и выражается в ppm (parts permillion).

Ошибка чувствительности не имеет такого значения для характеристики акселерометра, особенно в широком входном диапазоне ускорения. Дрейф смещения под действием вибрации, вследствие нелинейности, называется ошибкой в результате вибрационного воздействия (vibrationrectification error, VRE) и является весьма важной характеристикой для инерциальной нави-гации. В спецификациях акселерометров VRE выражается в виде коэффициента нелинейности второго порядка, измеренного в мкg/g 2 .

1.4. Экспериментальная установка

Аппаратная часть реализованного комплекса включает наклонно-поворотный стол (НПС) (фотография на рисунке 9), преобразователь выходных сигналов с цифровых выходов микросхем LSM303DLH и L3G4200D в протокол UART 2.0 (фотография на рисунке 11) и ЭВМ.

НПС позволяет задавать требуемую ориентацию осей чувствительно-сти датчиков относительно плоскости горизонта с точностью до 3 угл. мин. Указанной точности вполне достаточно для калибровки погрешностей дат-чиков, так как максимальная погрешность пространственной ориентации НПС в 3 угл. мин обуславливает инструментальную погрешность измере-ния гравитационного ускорения порядка 10-6 м/с 2 .

Рисунок 9 – Наклонно-поворотный стол


Рисунок 10 – Преобразователь выходных сигналов МЭМС миксросхем

в формат UART

Рисунок 11 – Испытания сконструированного ИИБ БИНС (справа) на НПС

Программная часть комплекса реализована в Delphi 7.0. Программа обеспечивает съём информации с информационных выходов микросхем, перевод цифровых кодов в физические величины, запись данных в файлы данных и их статистическую обработку.


ГЛАВА 2. ПРАКТИЧЕСКАЯ ЧАСТЬ

2.1 Статический режим испытания акселерометров.

Существуют следующие методы калибровки акселерометров в статическом режиме.

Стандартный метод испытаний − метод поворотов в гравитационном поле Земли. Этот способ является наиболее распространенным, что обусловлено простотой его реализации. Измерительная ось акселерометра должна быть направлена по составляющей ускорения свободного падения. Для этого добиваются, чтобы измерительная ось акселерометра была расположена под некоторым углом λ к местной вертикали. Здесь OSξηζ – географическая система координат, OSξ – местная вертикаль. В идеальном случае ускорение по измерительной оси . Для реализации этого метода надо знать значение g и определить направление ускорения свободного падения в точке испытаний, от этого направления ведут отсчет угла λ.

Для испытаний акселерометра, в первую очередь, должно быть известно значение ускорения свободного падения в точке градуировки, которое в настоящее время определено с достаточно высокой точностью. Для реализации метода могут быть использованы две разновидности устройств – поворотные платформы с одной или двумя взаимно перпендикулярными осями поворота. После чего получают выходную характеристику датчика. При этом возникает вопрос, по какому правилу задавать угол позиционирования. Кроме того сталкиваются с проблемами определения величины действующего ускорения, вызванными наличием уклонения отвесной линии и погрешностью расположения датчика относительно оси поворота средства испытания.

Скалярный метод (шестипозиционный метод) используется для калибровки 3-х перпендикулярных акселерометров. Этот метод основан на том, что независимо от ориентации осей чувствительности датчиков в локальной системе координат при отсутствии внешних воздействий, сумма квадратов показаний трех перпендикулярных датчиков равна квадрату опорного воздействия g (ускорения свободного падения для акселерометров).

Отличие этого способа от других состоит в применении в качестве эталона не вектора, а скаляра, функционально связанного с этим вектором. Подобная замена позволяет значительно повысить точность калибровки триады акселерометров. В результате точность выработанных коэффициентов математической модели не зависит от погрешностей ориентации триады в плоскости горизонта. Основной недостаток данного метода − невозможно оценивать углы неортогональностей.

Испытание акселерометров методом гравитационного притяжения. Позволяет задавать значения действующих ускорений значительно меньших, чем в первом способе. Данный метод не применим для испытания микромеханических акселерометров.

Испытание акселерометров на орбите искусственного спутника Земли

– метод испытания акселерометров в отсутствии гравитационного поля или при компенсации его в локализованной области. Разработка данного метода вызвана необходимостью устранения составляющих ускорений помех и свободного падения, направленных по измерительной оси акселерометра .

Метод испытания акселерометров путем компенсации гравитационного поля полем сил инерции движущегося объекта. Для реализации данного метода используется камера, в которой при изменении давления на падающий акселерометр воздействует различная по величине сила сопротивления воздуха, а, следовательно, и ускорение. Данный метод не нашел широкого применения из-за трудностей его реализации и из-за сложности аппаратуры.

Метод испытания акселерометров при равноускоренном поступательном перемещении платформы с прибором. Метод имитирует близкие к реальным условиям работы большинства приборов. Хотя это обстоятельство является существенным преимуществом метода в отличие от всех остальных, но серьезные трудности реализации испытательного стенда препятствуют его широкому использованию.

Испытание акселерометров методом задания Кориолисова ускорения. Способ был предложен с целью задания малых постоянных ускорений. Основные трудности реализации метода связаны с необходимостью устранять вредное влияние ускорения свободного падения.

Воспроизведение ускорения с помощью ротационных платформ. Только этот метод (метод центрифуги) является единственным практическим средством для воспроизведения ускорений, превышающих ускорение свободного падения. Метод с использованием одной ротационной платформы, вращающейся вокруг вертикальной оси OSξ, используется для воспроизведения постоянного ускорения. В этом случае акселерометр установлен на ротационной платформе на расстоянии R от центра вращения. Чувствительная ось акселерометра расположена горизонтально и направлена к центру вращения. Центрифуги предназначены для испытаний и калибровки акселерометров и различного вида инерциальных приборов (микромеханические системы для инерциальных приборов, недорогие в изготовлении кварцевые датчики, или датчики из кремния, кольцевые лазерные гироскопы, волоконно-оптические гироскопы, предохранительные устройства и другие датчики).Основными источниками погрешности калибровки этим методом являются: отклонение чувствительной оси от горизонтальной плоскости, погрешность задания и измерения угловых скоростей вращения платформы и погрешность из-за изменения длины плеча центрифуги.

2.2. Разработка алгоритмического обеспечения

В данной работе будут исследованы такие статистические погрешности микромеханического акселерометра, как cреднеквадратическое отклонение (СКО), ковариация, корреляция, проверка гипотезы на нормальность распеределения генеральной совокупности по критерию согласия Пирсона.

Среднеквадратическое отклонение (СКО) – показатель рассеивания значений случайной величины относительно её математического ожидания. Измеряется в единицах измерения самой случайной величины и используется при статистической проверке гипотез, при измерении линейной взаимосвязи между случайными величинами. Вычисляется по формуле:

, где

  • СКО

n – количество значений случайных величин

  • случайная величина
  • математическое ожидание случайно величины

1. Ковариация. Величина называется ковариацией (сов-местной вариацией) случайных величин Х и У. Ковариацию дискретных случайных величин можно оценить по их дискретным значениям Х = {x1, … xN} и У= {Yi,···YN} с помощью формулы среднего арифметического:

2. Корреляция – коэффициентом корреляции называют отношение ковариации к произведению среднеквадратических отклонений их случайных величин Х и У:

3. Проверка гипотезы о нормальности распределении генеральной совокупности по критерию согласия Пирсона. Критерием согласия называют критерий проверки гипотезы о предполагаемом законе неизвестного распределения. Пусть по выборке объема n получено эмпирическое распределение:

варианты xi x 1 x 2 xs

эмпирические частоты ni n 1 n 2 ns

Если предполагаемое распределение – нормальное, то оценивают два параметра (математическое ожидание и среднее квадратическое отклонене), поэтому r = 2 и число степеней свободы

Для того чтобы при заданном уровне значимости проверить нулевую гипотезу Н 0 , надо сначала вычислить теоретические частоты, а затем наблюдаемое значение критерия:

и по таблице критических точек распределения , по заданному уровню значимости α и числу степеней свободы найти критическую точку

Если

Если – нулевую гипотезу отвергают

Частный вид критерия, который нередко используется для проверки согласованности плотности распределения, полученной по данным выборки, с некоторой теоретической плотностью распределения, носит названия критерия согласия . Сущность этого метода проверки состоит в том, что в качестве меры расхождения наблюдаемой и теоритеской плотностей распределения используется некоторая статистика, описываемая приближенным распределением . Гипотеза о согласованности распределений проверяется затем путем анализа выборочного распределения этой статистики.

Введем понятие объем N независимых наблюдаемых значений случайной величины x(k) с плотностью распределения p(x).

Данные наблюдений объединены по группам в k интервалов, называемых разрядами, которые в совокупности образуют гистограмму частот. Число наблюдаемых значений в i-м разряде называется наблюденной частой и обозначается через f i . Число наблюдений, которое, как можно ожидать, попадает в i-й разряд, если истинная плотность распределения величины x(k) есть p0 (x), называется ожидаемой частотой в i-м разряде и обозначается через Fi . Разность между наблюдаемой и ожидаемой частотами в каждом разряде составляет (fi – Fi ).

Для того чтобы определить общую степень расхождения для всех разрядов, суммируют квадраты разностей частот в каждом разряде и получают выборочную статистику

Величина имеет приблизительно то же распределение, что и величина и величина . Число степеней свободы n в этом случае равно числу K минус число различных независимых линейных связей (ограничений), наложенных на данные наблюдений. Одна такая связь существует в результате того, что частота в последнем разряде может быть определена, как скоро становятся известными частоты в первых (K–1) разрядах. Имеется по крайней мере еще одно дополнительное ограничение, обусловленное пригонкой ожидаемой теоретической плотности распределения к гистограмме частот, полученной по данным наблюдений. В общем случае, когда ожидаемая теоретическая плотность распределения есть нормальная функция, накладывается еще два дополнительных ограничения, так как среднее значение и дисперсия должны быть вычислены таким образом, чтобы они удовлетворяли нормальной плотности распределения. Следовательно, в общем случае, когда критерий согласия используется как критерий провреки нормальности распределения, число степеней свободы для функции составляет n = K – 3.

После определения соответствующего данному случаю числа степеней свободы для величины проверка гипотезы выполняется слудующим образом. Допустим, что, согласно гипотезе, величина x(k) обладает плотностью распределения p(x) = p 0 (x) находят сумму . Так как любое отколение p(x) отp0 (x) увеличивает , используется односторонний критерий. Область принятия гипотезы определяется неравенством

где данные о функции выбираются из Таблицы 2. Если выборочное значение суммы больше , гипотеза p(x) = p 0 (x) отвергается при уровне значимости . Если сумма меньше или равна , гипотеза принимается. Вероятность допустить ошибку первого рода составляет . Вероятность же допустить ошибку второго рода достаточно четко определить нельзя, потому

что существует бесчисленное множество различных способов несовпадения p(x) иp 0 (x).

Таблица 3 – Процентные точки распределения

Мощность критерия согласия зависит от выбора разрядов. Для их выбора имеются различные теоретические и практические рекомендации. В том случае, когда критерий должен применяться при уровне значимости

, в рекомендуется принимать минимальное числов разрядов по данным таблицы 3.

N

200

400

600

800

1000

1500

2000

K

16

20

24

27

30

35

39

Таблица 4 – Минимальное значение оптимального числа разрядов K

для выборок объема N при

Наиболее удобно применять рассматриваемый критерий, задаваясь раз-рядами одинаковой ширины. Если исключить из рассмотрения гипотезу об однородности распределения, при этом приеме получаются различные значения ожидаемых частот в разных разрядах. Ширина разрядов должна выбираться так, чтобы получались равные частоты в разных интервалах. За исключением случая критерия проверки однородности распределения, использование описанного приема приводит к тому, что различные разрялы будут иметь неодинаковую ширину. Прием задания одинаковых значений частоты затрудняет применение критерия, но повышает, как правило его мощность. Желательно обеспечить значение частоты в каждом разряде, равное по крайней мере 5, хотя в крайних разрядах допустимо значение частоты, равное всего 2.

2.3. Разработка программного алгоритма

Программная часть комплекса реализована в Delphi 7.0. Программа обеспечивает съём информации с информационных выходов микросхем в цифровом коде, запись данных в файлы данных и их статистическую обработку.

Реализован съем информации с микромеханического акселерометра по трем осям – оси x, осиy, оси z. Данные по каждой из осей в цифровом виде записывается в папке «measurement» находящейся на на диске «C».

1. Осуществлен вывод данных на график

Рисунок 12 – График показаний акселерометра

2. Осуществлен вывод центрированных данных на график

Рисунок 13– График центрированных показаний акселерометра

Вычислено математическое ожидание, был получен следующий график:

Рисунок 14 – График математического ожидания

MX, MY, MS соответственно математическое ожидание по осям x, y, z

3. Вычислены значения СКО

4. Вычислены значения ковариации

5. Вычислены значения корреляции

6. Проверка гипотезы о нормальности распределении генеральной

классификации по критерию согласия Пирсона.

Получены следующие гистограммы частот для выборки наблюденных значений по осям X, Y, Z

Рисунок 15 – Гистограмма частот для выборки наблюденных значений по осиX

Рисунок 16 – Гистограмма частот для выборки наблюденных значений по осиY

Рисунок 17 – Гистограмма частот для выборки наблюденных значений по

оси Z

Вид гистограммы позволяет сделать предположение о том, что распределение подчиняется нормальному закону.

ЗАКЛЮЧЕНИЕ

Как было уже выше сказано темой дипломного проекта является:разработка алгоритма для исследования погрешностей МЭМС-акселерометра. Особенностью МЭМС (микроэлектромеханических систем) является то обстоятельство, что в них электрические и механические узлы формируются из общего основания (например, кремниевой подложки), причем, в результате использования технологии формирования объемных структур обеспечивается получение микросистемной техники с высокими оперативно–техническими характеристиками (массогабаритными, весовыми, энергетическими и др.).

Достигнута цель данной работы, чем являлось разработка алгоритмического и программного обеспечения для исследования статистических погрешностей акселерометра LSM303DLH.

Исследованы статистические погрешности МЭМС-датчика (микромеханического акселерометра LSM303DLH).

В ходе работы представлено алгоритмическая разработка исследования таких параметров, как среднеквадратическое отклонение, математическое ожидание, ковариация, корреляция, проверка гипотезы на нормальное расперделение генеральной совокупности по критерию согласия Пирсона. Создано программное обеспечение в программной среде Delfi 7.0 для расчета данных параметров и вывода графиков показаний акселерометра, математического ожидания по оси x, оси y, осиz, гистограммы частот для выборки наблюденных значений при проверке гипотезы на нормальноcть распределения генеральной совокупности по критерию согласия Пирсона.

СПИСОК ЛИТЕРАТУРЫ

[Электронный ресурс]//URL: https://inzhpro.ru/referat/mayatnikovyiy-akselerometr/

1. Распопов, В.Я. Микромеханические приборы: учеб. пособие /

В.Я. Распопов. – М.: Машиностроение, 2007. – 400

2. Денисенко В.В. Компьютерное управление технологическим процессом,

экспериментом, оборудованием.

3. Datasheet. LSM303DLH Sensor module: 3-axis accelerometer and 3-axis

magnetometer © 2009 STMicroelectronics — All rights reserved

5. Бендат Дж, Пирсол А. Измерение и анализ случайных процессов – М.: Мир,

1971. – 408

6. Фликкер-шум(1/f-шум, избыточный шум)[Электронный ресурс]. – Режим

доступа:–

(Дата обращения: 20.04.2016).

7. Тенденции рынка High-end MЭМС-датчиков инерции. Новые уровни

характеристики исполнения[Электронный ресурс]. – Режим доступа:

8. Дао Ван Ба Динамический метод исследования погрешностей триады

акселерометров [Текст]: дис…..канд. техн. наук: 05.11.03: защищена

22.01.15: утв. 15.07.14 / Дао Ван Ба. – Санкт-Петербург – 2015, – 113 с.

9. Колганов, В.Н. Способ определения статических характеристик

акселерометров на центрифуге [Электронный ресурс] / В.Н. Колганов, А.А.

Папко, Т.Н. Балашова, Ю.М. Малкин //Патент RU 2192016 – Режим

доступа:

ПРИЛОЖЕНИЕ А, Кафедра «информационных и

измерительных технологий»

Направление подготовки

12.03.01 Приборостроение, Задание на выполнение ВКР бакалавра

Студенту Сизякину Станиславу Леонидовичу

1. Тема: «Разработка алгоритма для исследования погрешностей

МЭМС- акселерометра».

2. Срок сдачи законченной работы: 30.05.2016.

3. Исходные данные:

  • Микроэлектромеханический (МЭМС) датчик акселерометр LSM303DLH

4. Перечень вопросов, подлежащих разработке:

  • Разработка алгоритмического обеспечения для опеределения статистических погрешностей датчика
  • Разработка программного обеспечения

    7.

Дата выдачи задания: 12.02.2016

8. Руководитель: ___________________________

Подпись ФИО

9. Задание принято к исполнению:

_______________________________________________

Дата Подпись студента

ПРИЛОЖЕНИЕ B, Код программы

Исполнено в программном виде вычисление среднеквадратического отклонения (СКО).

Процедура ProcedureSKOClick(Sender: TObject)

procedure TForm1.SKOClick(Sender: TObject);

var

SumKoX, SumKoY, SumKoZ: Real;

j4: Integer;

begin

j4:=1;

SumKoX:=0;

SumKoY:=0;

SumKoZ:=0;

Reset(newXd); // Xa

Reset(newYd); // Ya

Reset(newZd); // Za

while j4<=Kolizm do

begin

Read(newXd, X1);

Read(newYd, Y1);

Read(newZd, Z1);

SumKoX:=SumKoX+Sqr(X1-MoX);

SumKoY:=SumKoY+Sqr(Z1-MoZ);

SumKoZ:=SumKoZ+Sqr(Y1-MoY);

SrKOX:=Sqrt(SumKoX/Kolizm);

SrKOZ:=Sqrt(SumKoY/Kolizm);

SrKOY:=Sqrt(SumKoZ/Kolizm);

Inc(j4);

end;

SKOXLabel.Caption:=’SKOX=’+FloatToSTRf((SrKOX),ffFixed,6,3);

SKOYLabel.Caption:=’SKOY=’+FloatToSTRf((SrKOY),ffFixed,6,3);

SKOZLabel.Caption:=’SKOZ=’+FloatToSTRf((SrKOZ),ffFixed,6,3);

Korrelaziya.Enabled:=True;

closefile(newXd);

closefile(newYd);

closefile(newZd);

end;

  • Исполнено в программном виде вычисление ковариации. ПроцедураProcedureCovariaziyaClick(Sender: TObject)

// Вычисление ковариаций для осей акселеромтера

procedure TForm1.CovariaziyaClick(Sender: TObject);

var

j2: Integer;

begin

j2:=1;

Reset(newXd); // Xa

Reset(newYd); // Ya

Reset(newZd); // Za

while j2<=Kolizm do

begin

Read(newXd, X1);

Read(newYd, Y1);

Read(newZd, Z1);

covXY:=covXY+(X1-MoX)*(Y1-MoY);

covXZ:=covXZ+(Y1-MoX)*(Z1-MoZ);

covYZ:=covXY+(Z1-MoZ)*(Y1-MoY);

Inc(j2);

end;

covXYLabel.Caption:=’covXY=’+FloatToSTRf((covXY),ffFixed,6,3);

covXZLabel.Caption:=’covXZ=’+FloatToSTRf((covXZ),ffFixed,6,3);

covYZLabel.Caption:=’covYZ=’+FloatToSTRf((covYZ),ffFixed,6,3);

closefile(newXd);

closefile(newYd);

closefile(newZd);

SKO . Enabled := True ;

end;

  • Исполнено в программном виде вычисление корреляции. ПроцедураProcedureKorrelazya.Click

procedure TForm1.KorrelaziyaClick(Sender: TObject);

begin

KorXY:=covXY/(SrKOX*SrKOY);

KorXZ:=covXZ/(SrKOX*SrKOZ);

KorYZ:=covXY/(SrKOZ*SrKOY);

KorXYLabel.Caption:=’KorXY=’+FloatToSTRf((KorXY),ffFixed,7,4);

KorXZLabel.Caption:=’KorXZ=’+FloatToSTRf((KorXZ),ffFixed,7,4);

KorYZLabel.Caption:=’KorYZ=’+FloatToSTRf((KorYZ),ffFixed,7,4);

end;

  • Исполнено в программном виде проверка гипотезы о нормальности распределении генеральной совокупности.

ПроцедураProcedurePirsonClick(Sender: TObject)

procedure TForm1.PirsonClick(Sender: TObject);

//проверяем нормальное распределение по согласию Пирсона

var

j3: Integer;

begin

j3:=1;

Reset(newXd); // Xg

Reset(newYd); // Yg

Reset(newZd); // Zg

IntX:=(Abs(MinX)+abs(MaxX))/Raz; // определяемразмеринтерваловразрядов

IntY:=(Abs(MinY)+abs(MaxY))/Raz;

IntZ:=(Abs(MinZ)+abs(MaxZ))/Raz;

aX[1]:=MinX; //определяем нижнюю границу первого разряда

aY[1]:=MinY;

aZ[1]:=MinZ;

for i:=2 to Raz do

for i:=2 to Raz do

begin

AX[i]:=MinX+IntX*(i-1); //определяем нижние границы разрядов

AY[i]:=MinY+IntY*(i-1

AZ[i]:=MinZ+IntZ*(i-1);

end;

while j3<=Kolizm do

begin

Read(newXd, X1);

Read(newYd, Y1);

Read(newZd, Z1);

for i:=1 to Raz do

begin

if ((X1>=ax[i]) and (X1<ax[i+1])) then bx[i]:=bx[i]+1;

if ((Y1>=ay[i]) and (Y1<ay[i+1])) then by[i]:=by[i]+1;

if ((Z1>=az[i]) and (Z1<az[i+1])) then bz[i]:=bz[i]+1;

end;

Inc(j3);

end;

for i:=1 to Raz do

begin

Series4.AddXY(I, (bx[i]), », Series4.SeriesColor); // построениегистограмм

Series5.AddXY(I, (by[i]), », Series5.SeriesColor);

Series6.AddXY(I, (bz[i]), », Series6.SeriesColor);

end;

closefile(newXd);

closefile(newYd);

closefile(newZd);

end;

ДЕТАЛИ ФАЙЛА:

Имя прикрепленного файла:, Размер файла:, Скачиваний: